芯片设计流程(芯片设计全流程)

0 10
芯片设计全流程 芯片设计分为前端设计和后端设计,前端设计(也称逻辑设计)和后端设计(也称物理设计)并没有统一严格的界限,涉及到与工艺有关的设计就是后端设计。 前...

芯片设计全流程

芯片设计分为前端设计和后端设计,前端设计(也称逻辑设计)和后端设计(也称物理设计)并没有统一严格的界限,涉及到与工艺有关的设计就是后端设计。

前端设计全流程:

1. 规格制定

芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。

2. 详细设计

Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。

3. HDL编码

使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。

4. 仿真验证

仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。 设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。

仿真验证工具Synopsys的VCS,还有Cadence的NC-Verilog。

5. 逻辑综合――Design Compiler

仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元(STAndard cell)的面积,时序参数是不一样的。所以,选用的综合库不一样,综合出来的电路在时序,面积上是有差异的。一般来说,综合完成后需要再次做仿真验证(这个也称为后仿真,之前的称为前仿真)。

逻辑综合工具Synopsys的Design Compiler。

6. STA

Static Timing Analysis(STA),静态时序分析,这也属于验证范畴,它主要是在时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例(violation)。这个是数字电路基础知识,一个寄存器出现这两个时序违例时,是没有办法正确采样数据和输出数据的,所以以寄存器为基础的数字芯片功能肯定会出现问题。

STA工具有Synopsys的Prime Time。

7. 形式验证

这也是验证范畴,它是从功能上(STA是时序上)对综合后的网表进行验证。常用的就是等价性检查方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。

形式验证工具有Synopsys的Formality

后端设计流程:

1. DFT

Design For Test,可测性设计。芯片内部往往都自带测试电路,DFT的目的就是在设计的时候就考虑将来的测试。DFT的常见方法就是,在设计中插入扫描链,将非扫描单元(如寄存器)变为扫描单元。关于DFT,有些书上有详细介绍,对照图片就好理解一点。

DFT工具Synopsys的DFT Compiler

2. 布局规划(FloorPlan)

布局规划就是放置芯片的宏单元模块,在总体上确定各种功能电路的摆放位置,如IP模块,RAM,I/O引脚等等。布局规划能直接影响芯片最终的面积。

工具为Synopsys的Astro

3. CTS

Clock Tree Synthesis,时钟树综合,简单点说就是时钟的布线。由于时钟信号在数字芯片的全局指挥作用,它的分布应该是对称式的连到各个寄存器单元,从而使时钟从同一个时钟源到达各个寄存器时,时钟延迟差异最小。这也是为什么时钟信号需要单独布线的原因。

CTS工具,Synopsys的Physical Compiler

4. 布线(Place & Route)

这里的布线就是普通信号布线了,包括各种标准单元(基本逻辑门电路)之间的走线。比如我们平常听到的0.13um工艺,或者说90nm工艺,实际上就是这里金属布线可以达到的最小宽度,从微观上看就是MOS管的沟道长度。

工具Synopsys的Astro

5. 寄生参数提取

由于导线本身存在的电阻,相邻导线之间的互感,耦合电容在芯片内部会产生信号噪声,串扰和反射。这些效应会产生信号完整性问题,导致信号电压波动和变化,如果严重就会导致信号失真错误。提取寄生参数进行再次的分析验证,分析信号完整性问题是非常重要的。

工具Synopsys的Star-RCXT

6. 版图物理验证

对完成布线的物理版图进行功能和时序上的验证,验证项目很多,如LVS(Layout Vs Schematic)验证,简单说,就是版图与逻辑综合后的门级电路图的对比验证;DRC(Design Rule Checking):设计规则检查,检查连线间距,连线宽度等是否满足工艺要求, ERC(Electrical Rule Checking):电气规则检查,检查短路和开路等电气 规则违例;等等。

工具为Synopsys的Hercules

实际的后端流程还包括电路功耗分析,以及随着制造工艺不断进步产生的DFM(可制造性设计)问题,在此不说了。

物理版图验证完成也就是整个芯片设计阶段完成,下面的就是芯片制造了。物理版图以GDS II的文件格式交给芯片代工厂(称为Foundry)在晶圆硅片上做出实际的电路,再进行封装和测试,就得到了我们实际看见的芯片

芯片制作四大流程

芯片的制作过程主要有,芯片图纸的设计→晶片的制作→封装→测试等四个主要步骤。

其中最复杂的要数晶片的制作了,晶片的制作要分为,硅锭的制作和打磨→切片成晶片→涂膜光刻→蚀刻→掺加杂质→晶圆测试→封装测试。这样一个芯片才算完成了。

芯片(IC)制造的工艺流程是什么

IC卡制作过程是由:系统设计→芯片制造→磨割圆片→造微模板→卡片制造

→卡初始化→处理发行的过程。

1、系统设计是根据应用系统对卡的功能和安全的要求设计卡内芯片:以及工艺水平和成本对智能卡的MPU、存储器容量和COS提出具体要求。

2、芯片制造是在单晶硅圆片上制作电路。设计者将设计好的版图提交给芯片制造厂。然后造厂根据设计与工艺过程的要求,生产多层掩膜版。在一个圆片上可制作几百~几千个相互独立的电路,每个电路即为一个小芯片。注意压块是否会给攻击者以可乘之机。

3、磨割圆片:厚度要符合IC卡的规定,研磨后将圆片切割成众多小芯片。

4、造微模块:将制造好的芯片安装在有8个触点的印制电路薄片上,称作微模块。

5、卡片制造:将微模块嵌入卡片中,并完成卡片表面的印刷工作。

6、卡初始化:先核对运输码。如为逻辑加密卡,运输码可由制造厂写入用户密码区,发行商核对正确后改写成用户密码对于智能卡,在此时可进行写入密码、密钥、建立文件等操作。此后该卡片进入用户方式,而且永远也不能回到以前的工作方式,这样做也是为了保证卡的安全。

7、处理发行:发行商通过读写设备对卡进行个人化处理,根据应用要求写入一些信息。完成以上这些过程的卡,就成为一张能唯一标识用户的卡。

芯片设计什么意思

就是芯片设计要出的图纸。

一颗芯片的诞生,可以分为设计和制造二个大环节。想要生产出IC芯片,就必须先要有芯片设计图。在芯片生产过程中,芯片设计一般由专门的设计服务服务进行。芯片设计的流程通常是:芯片规格制定,硬件描述语言,模拟,逻辑合成,电路模拟,电路布局与绕线,电路检测,送到工厂生产;生产出来后,还要进行封装和测试。

根据设计的需求,比如功能目标,规格制定,电路布局和线绕以及细节处理等,生成的“设计图样”;根据芯片规则制定提前制作好光罩。

最后修改时间:
admin
上一篇 2024年09月26日 10:13
下一篇 2024年09月26日 10:18

评论已关闭